module VendingMachine: input nickel,dime; output gum; signal credit:=0 :integer in loop run Accumulator || run Dispatcher end loop end signal end module